UVM reg predictor when response is not?

In typical UVM RAL environment when transaction responses are not immediate, usually explicit UVM predictor is used to update the reg model shadow values.
UVM predictor gets response/read-data information on port bus_in connected to a monitor.
Is it monitor responsibility to call write (to port .bus_in) for transaction which completed with OKAY response?
Or UVM predictor itself capable of making checks and ONLY mirror value if transaction is UVM_OK.

In reply to bhupesh.paliwal:

I think this was one of the ideas of the library developers, hence the existence of the ‘status’ type. The handling for it is broken, though, so you’ll have to filter out write transactions that shouldn’t update and read transactions that shouldn’t be checked.

In reply to bhupesh.paliwal:

Yes, It is monitor’s job, to write on analysis port, which must be connected with bus_in port of uvm_reg_predictor. uvm_reg_predictor converts the bus transaction to register transaction using bus2reg() method of assigned reg_adapter. “uvm_reg_predictor” will call predict method of reg, regardless of status.