mvlog: *E,NOIPRT (apb_agent.sv,15|13): Unrecognized declaration 'apb_monitor' could be an unsupported keyword, a spelling mistake or missing instance port list '()' [SystemVerilog].
(`include file: apb_agent.sv line 15, `include file: apb_pkg.sv line 17, file: testbench.sv line 14)
`uvm_component_utils(apb_env);
Can Anyone help with this error how to resolve it?
In reply to Rahulkumar:
This is the monitor code in the beginning
class apb_monitor extends uvm_monitor;
`uvm_component_utils(apb_monitor)
// virtual apb_if.passive vif;
virtual apb_if vif;
apb_rw tr;
///Monitor writes transaction objects to this port once detected on interface
uvm_analysis_port#(apb_rw) ap;
This is the agent code. I am getting the error at the line “apb_monitor mon;”
class apb_agent extends uvm_agent;
// sequencer, driver and monitor components for the APB interface
apb_sequencer sqr;
apb_master_drv drv;
apb_monitor mon;
virtual apb_if vif;
`uvm_component_utils_begin(apb_agent)
`uvm_field_object(sqr, UVM_ALL_ON)
`uvm_field_object(drv, UVM_ALL_ON)
`uvm_field_object(mon, UVM_ALL_ON)
`uvm_component_utils_end
function new(string name, uvm_component parent = null);
super.new(name, parent);
endfunction
This EDAplayground example works fine.
But I want to see the same with the apb components and the apb package file.
I’m interested in the structural aspects and do not want to know your run_phase implementations.