Sequence start from test in UVM

class test extends base_test (base test extended from uvm_test and has env handle)
task run_phase(uvm_phase phase);
seq_trans seq;
seq = seq_trans::type_id::create(“seq”);
phase.raise_objection(this);

seq.start(env.agent_h.seqr_h);

phase.drop_objection(this);
endtask

endclass

The above test gets stuck at “.start” step. Not able to figure out why so.
Can anyone help me out here?

In reply to rudra2255:

What do you mean by ‘stuck’? When your sequence starts, it will start interacting with the sequencer to create and send sequence items. Have you checked that your sequence? Modern simulators will allow you to set breakpoints and determine if your testbench is stuck in a loop. What debugging tools have you tried?