class test extends base_test (base test extended from uvm_test and has env handle)
task run_phase(uvm_phase phase);
seq_trans seq;
seq = seq_trans::type_id::create(“seq”);
phase.raise_objection(this);
seq.start(env.agent_h.seqr_h);
phase.drop_objection(this);
endtask
endclass
The above test gets stuck at “.start” step. Not able to figure out why so.
Can anyone help me out here?