Parallel calling single handle in 2 begin end threat

Hi anyone,
Please clarify the below query
class seq extends seq1;
Seq3 seq_h;

task body();
begin
fork
'uvm_do(seq_h)
'uvm_do(seq_h)
join
endclass

In the above program,only one handle is created for class
seq3. While running the test was running properly.

Question: how single handle work for both uvm_do

In reply to Ganesan Thangarajan:

This works for simple cases where no reponses are required.
You need to look at how the `umm_do macro gets expanded for sequences. The macro creates a local variable that stores each handle. But if this body() needs to access one of the sequences, it only has access to the last sequence handle created.

In reply to dave_59:

Thanks for your response sir.