Overriding the time consuming phases in UVM by calling super method

Why it is not mandatory to override the time consuming phases(task based phases) by calling super method, for instance in run_phase, super.run_phase(uvm_phase); , but as it is mandatory to override the function based phases by calling super method.

In reply to Munsif M.Ahamd:

It is never mandatory to call super. on any phase. As far as I know non of the uvm_component phases have anything in them except the build_phase. That is only needed if you use the field automation macros, which we do not recommend.