Instantiating multiple uvm_reg_blocks inside top_env

Hello all

I am trying to instantiate uvm_reg_block in the environment. The problem is there are multiple reg_blocks inside the reg memory file. Should I instantiate all reg_blocks inside the top_env or define them inside a separate file. Kindly help

In reply to verific_engi:

I don’t understand your question. Explain your class structures and then we can talk about what files they are in. Also explain what you are referring to by a “reg memory file” as that might have several meanings in UVM.