How to work uvm using the modelsim tool

help me, i am neddy , i want to use uvm with systemverilog in modelsim-altera 10.d , but i do not how to work.

Neddy,

You are going to need Questa to do anything useful with UVM.

Download the UVM 1.1b package from www.accellera.org.

There is an “examples” directory with lots of examples, from simple to more complete. Look at the makefiles that show how to point to the UVM source directory, so when you compile, it will find the UVM stuff.

i installed QuestaSim 10.0a, i want to compile hello.sv Using the UVM libraries with Questa.
I see Verification Horizons - Siemens Software :

You can easily use these steps:

vlib work
vlog hello.sv
vsim -c hello …

but error :

cd F:/UVM/hello
vlib work
vlog hello.sv

QuestaSim vlog 10.0a Compiler 2011.02 Feb 20 2011

** Note: (vlog-2286) Using implicit +incdir+D:/questasim/uvm-1.0/…/verilog_src/uvm-1.0/src from import uvm_pkg

– Compiling package uvm_pkg

** Note: (vlog-2286) Using implicit +incdir+D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src from import ovm_pkg

** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/base.svh(25): (vlog-2163) Macro `const is undefined.

** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/ovm_version.svh(26): (vlog-2163) Macro `OVM_VERSION_STRING is undefined.

** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/ovm_version.svh(26): near “;”: syntax error, unexpected ‘;’

** Warning: (vlog-2292) An included file is associated with a closed OVM package, but no closed OVM package was imported

D:/questasim/win32/vlog failed.

please help me …

In reply to Neddy:

Which hello.sv file are you tring to compile?

In reply to dave_59:

include "uvm_pkg.sv" module hello_world_example; import uvm_pkg::*; include “uvm_macros.svh”
initial begin
`uvm_info (“info1”,“Hello World”, UVM_LOW);
end
endmodule: hello_world_example

Take out the `include “uvm_pkg.sv” since the UVM is already compiled in Questa

Thank you very much!

run -all

** Fatal: (vsim-160) D:/questasim/win32/…/verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh(27): Null foreign function pointer encountered when calling ‘dpi_get_next_arg_c’

Time: 0 ns Iteration: 0 Process: /uvm_pkg File: D:/questasim/win32/…/verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh

Fatal error at D:/questasim/win32/…/verilog_src/uvm-1.0/src/dpi/uvm_svcmd_dpi.svh line 27

In reply to Neddy:

Neddy,

It will be difficult to help you with seeing a lot more of the steps you are using to compile and run. And this forum is not really for tool support. Please use Mentor’s support channels.

In reply to dave_59:

See if you can update QU version. I use Qu 10.1c on Windows, it works like charm!

Ajeetha, CVC

Hi to all

I Have Questasim 10.0c I have installed it on windows 8.1 also installed cygwin for 64 bit please guide me How I can execute the UVM examples uvm-1.2