i installed QuestaSim 10.0a, i want to compile hello.sv Using the UVM libraries with Questa.
I see Verification Horizons - Siemens Software :
You can easily use these steps:
vlib work
vlog hello.sv
vsim -c hello …
but error :
cd F:/UVM/hello
vlib work
vlog hello.sv
QuestaSim vlog 10.0a Compiler 2011.02 Feb 20 2011
** Note: (vlog-2286) Using implicit +incdir+D:/questasim/uvm-1.0/…/verilog_src/uvm-1.0/src from import uvm_pkg
– Compiling package uvm_pkg
** Note: (vlog-2286) Using implicit +incdir+D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src from import ovm_pkg
** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/base.svh(25): (vlog-2163) Macro `const is undefined.
** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/ovm_version.svh(26): (vlog-2163) Macro `OVM_VERSION_STRING is undefined.
** Error: D:/questasim/ovm-2.1.1/…/verilog_src/ovm-2.1.1/src/base/ovm_version.svh(26): near “;”: syntax error, unexpected ‘;’
** Warning: (vlog-2292) An included file is associated with a closed OVM package, but no closed OVM package was imported
D:/questasim/win32/vlog failed.
please help me …