How To Run Script Files in Questa Sim (I am Facing Problem while Running Sript Files)

QuestaSim>help make

No help for make available.

QuestaSim>help makefile

No help for makefile available.

QuestaSim>help make

No help for make available.

QuestaSim>make -f Makefile

wrong # args: should be “make_rpc_server”

QuestaSim>make -f questa

wrong # args: should be “make_rpc_server”

QuestaSim>make -f questa.mk

wrong # args: should be “make_rpc_server”

The above all are Error while i am Running Script Files on Questa sim 10.0b Can you Please any one Guide How run Script Files and Provides the Necessary Tool Commands.

The Script Files are Located in run directory of the Following Link :- GitHub - cluelogic/uvm-tutorial-for-candy-lovers: Source code repo for UVM Tutorial for Candy Lovers

Thanks&Regards
Balaji,

You need to run make from a Linux shell. If you are running Windows, you will need to contact the author of the tutorial for instructions.

BTW Questa 10.0b is very old for running UVM examples.

In reply to dave_59:

[Status => Task Incomplete Can’t Find a Solution for my Problem,Help me to Find Out]
Here the Author is Giving the Limited Instructions Through README.md file.First of all i can’t able to understand it Completely. Even If i am following that file means i can’t able to Compile the TB. So If you have any idea on Compiling Script Files Please Go through README.md file avialable in this Link Updated README.md. · cluelogic/uvm-tutorial-for-candy-lovers@e9f529e · GitHub can you please tell me what steps i need to follow to Achieve the Simulation Results

Thanks
Balaji

In reply to balaji2birla:

Balaji

I downloaded and tried the example.
On Linux, you open a shell and go into the run directory.
You can use the makefile provided.
To compile and run a test : make questa TUTORIAL=1

If you are running on Windows, then the makefile won’t work.
The makefile contains shell commands that are not understood on Windows.

I converted the commands in the Makefile to TCL, so they will work on any operating system
Save the following lines into a file called sim.do that is located in the run directory.
Then invoke Questa and you can execute a test by going
do sim.do 1 -: runs test #1
do sim.do 7 -: runs test #7

Graeme

++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
echo “ARGS = $argc”

set TUTORIAL $argc

switch $TUTORIAL {
1 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
2 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
3 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
4 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
5 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
6 {set tutorial_file tutorial_1_to_6.sv
set testname jelly_bean_test}
7 {set tutorial_file tutorial_7_and_8.sv
set testname jelly_bean_recipe_test}
8 {set tutorial_file tutorial_7_and_8.sv
set testname jelly_bean_recipe_test}
9 {set tutorial_file tutorial_9.sv
set testname jelly_bean_reg_test}
15 {set tutorial_file tutorial_15.sv
set testname jelly_bean_test}
21 {set tutorial_file tutorial_21.sv
set testname jelly_bean_test}
default {
expr {3}
}
}

Constants

set src_dir …/src
set compile_files $src_dir/$tutorial_file
set compile_opts +define+TUTORIAL=$TUTORIAL
set run_opts +UVM_TESTNAME=$testname
set top_module top

set QUESTA_LIB_DIR ./work
set QUESTA_LIB_NAME work

set questa_compile_opts “$compile_opts +define+CL_USE_QUESTA”
set questa_run_opts $run_opts
set questa_run_cmd_file modelsim.cmd

vlib $QUESTA_LIB_DIR
vmap $QUESTA_LIB_NAME $QUESTA_LIB_DIR

vlog $questa_compile_opts $compile_files
vsim $questa_run_opts $top_module -do $questa_run_cmd_file
+++++++++++++++++++++++++++