How to extend the time in run_phase without raising the objection? [Just for educational purpose]

I have tried with keeping the delays in all run_phases of my components,still it is coming out in 0 time and i have tried with same succesor for two phases i.e for run_phase and post_shutdown_phase keeping extract_phase as succesor(it is suggested in source code) still i find the same issue.

Regards
Kranthi

In reply to kranthi445:

You should never have an absolute time in your run_phases.
UVM requires the usage of the objection mechanism. If you do not it stops immediately at time 0.

You could also try phase.set_drain_time(). This should keep the phase alive for a fixed amount
of time.