How to enable and collect in-build RAL coverage

Hi,
I have a RAL model where following call is there in all the register models.
uvm_reg::include_coverage(“*”, UVM_CVR_FIELD_VALS);

Can anyone help me with the steps to enable/collect functional coverage ?

Thanks ,
Suyog

In reply to suyog_asic:

As per RAL classes, there is no inbuilt covergroup for sampling the coverage. User has to define, own covergroup based on requirement. RAL only provide support to call the sample of the covergroup. for that user has to enable the Auto prediction(needs to set set_auto_predict(1) to default map) and override the sample() methods of uvm_reg and uvm_reg_block, Thus at the end of every Frontdoor operation, uvm_reg will call the sample() hookup with accessed data and control field(is_read field), also it will call the sample() hook up of uvm_reg_block which will give details of accessed address.

In reply to suyog_asic:

Your question is quite complex and cannot be answered in a few lines here.
For details please visit
https://verificationacademy.com/cookbook/registers/functionalcoverage