How can i convert UVM_ERROR to UVM_INFO?

//this is the driver class where i replace my uvm_error to uvm_info but its not changed to uvm_error to uvm_info
if(!uvm_config_db #(int)::get(null,get_full_name(),“var”,var2))

  `uvm_error("hi","not able to get value")
else 
 `uvm_info ("GETTING VALUES IN CALLBACKSHHHSGSHS-PASS", $sformatf("var2=%0d", var2),UVM_NONE)

//i have written syntax for this severity in test class to override . its not working what are changes that i have to do??
function void set_report_severity_id_override( uvm_severity UVM_ERROR,
hi,
uvm_severity UVM_INFO);

			       endfunction

In reply to bunny438:

You have to write your own Reporter, then you can Change any uvm_* to any other.
Search this forum for a solution.

In reply to bunny438:

If you want to change that error for only one testcase you can use uvm_report_catcher.

In reply to kranthi445:

Here is some example code:
https://verificationacademy.com/forums/uvm/how-demote-uvmerror-checker-uvm-info-one-particular-testcase#reply-53360