How to demote UVM_ERROR from checker to UVM _INFO in one particular testcase

I want to mask few UVM_ERRORS from one checker for one testcase.
can I use set_report_severity_override in testcase…???
If I can where should I use it…in which phase?

In reply to rojalin:

You can do this in the uvm_report_catcher:

class my_report_catcher extends uvm_report_catcher;
function new (string name = “”);
super.new(name);
endfunction

function action_e catch;
uvm_severity severity = get_severity();

int verbosity = get_verbosity();
if (get_severity() == UVM_ERROR)
set_severity(UVM_INFO);

endfunction
endclass

It has to be done before run_phase from your test.