Fatal: (vsim-7019) Can't locate a C/C++ compiler for 'DPI Export Compilation'. iam getting this error while running uvm code can u adress how to resolve this issue?

  • Fatal: (vsim-7019) Can’t locate a C/C++ compiler for ‘DPI Export Compilation’. iam getting this error while running uvm code can u adress how to resolve this issue?

In reply to nagendrareddy s:

Looks like you want to compile c/C++ code with an C/C++ compiler in your installation path.
See what verror 7019 is issuing:
vsim-vlog Message # 7019:

In order to use QuestaSim’s SystemVerilog DPI, a C compiler must be

visible at runtime. You can use the CppPath variable in the

modelsim.ini file to designate a compiler, or you can download

and install a built-in GCC from the Model Technology website.

BTW this is a tool-related issue.

[i]In reply to chr_sue:

sir can u explain how to add c/c++ compiler to my questasim tool step by step.Because i am a fresher plz

thank you

In reply to nagendrareddy s:

This forum is not for tool related issues. Please contact your vendor support team for additional assistance.

In reply to cgales:

or Read The User Manual.

In reply to dave_59:

Ok Thank you