Data not getting received in monitor from interface

@(posedge TxByteClkHs )

rx_stream[i] = vif.TxDataHs ;
`uvm_info(“—rx_data—”,sformat(“rx------stream-- = %0h”,vif.TxDataHs),UVM_LOW)

this is my common monitor run phase logic and it showing the data on interface perfectly but by this assignment the data is not getting stored in rx_stream unsigned dynamic array i took .

my apologies if i may have explained the question abruptly .

In reply to milin parekh:

To clarify your question.
Is the uvm_info displaying the correct vale and the interface signal is not stored in your variable rx_stream?
Please show the declaraion of this variable.

yes indeed ,

for my requirement i have taken extra dynamic array in monitor to store the data that is coming from interface .

bit [7:0] rx_stream =new[100];

In reply to milin parekh:

Could you please describe the error in some more detail. If you do not run the assignment in your monitor you’ll overwrite always the last value.
Pleas post the run_phase of your monitor.

hello

the above posted logic for assignment from interface to the personal dynamic arrray is in the run phase solely

and it got solved the error now got fired in the file of the work directory
as i am using the Questa sim tool

so what i did is for fresh start i put the codes in different directory and re ran it and the work directory formed automatically , worked fine and i got my output

error was for the file
in work/@_opt/_lib4_o.qdb

it was regarding some database which the tool handles on backend

Issue got solved at last :)

, thanks for the help @chr_sue