Coverpoint to check if all registers within a uvm_reg_block are accessed

I need help in creating coverpoint to check if all registers within a uvm_reg_block are accessed.

In reply to prashantg:

Hi Prashantg,

You can override sample method of uvm_reg_block into extended reg_block where each regs are instantiated. for every read-write transaction, uvm_reg will call XsampleX method of uvm_reg_block if auto_predict is set as 1. sample method of uvm_reg_block will call with address and is_read flag. if you want to cover data then same way you can override sample() method of uvm_reg for each extended reg class. which will give you data and is_read flag. but mandatory thing is you need to set_auto_predict(1).

Thanks & Regards,
Mitesh Patel

In reply to prashantg:

I’m using a coverage collector with 2 coverpointes, 1 for the name of the reg or the address and another one for the access/coomand.