Can we start one sequence onto the driver while it is driving another sequence?

Can we start one sequence onto the driver while it is driving another sequence? I want the driver to drive a sequence in between another sequence.

In reply to Srinadh:

Sequences are started on a sequencer, not a driver.

Read the UVM Cookbook section on sequences which explains how to start multiple sequences on the same sequencer. You also want to understand the various arbitration methods as this affects how simultaneous sequences are scheduled by the sequencer.

In reply to cgales:

UVM divides up the testbench into separate blocks, each with its own job. A sequence creates transaction objects and sends them out. A sequencer receives transaction handles from one or more sequences and arbitrates between them, and sends those handles to the driver. The driver receives the handles and sends the values to the design, either by directly driving signals, or communicating through a bus functional model (BFM) with methods in an interface.