Backdoor access for registers

I’m not sure how to specify paths in different hierarchy to access the registers through backdoor.
Here’s what my hierarchy looks like
u_blockA has 4 instantiation of blockB (u_blockB_0, u_blockB_1, u_blockB_2 & u_blockC_3)
each blockB has 10 instantiations of blockC (u_blockC_0…u_blockC_9)
each blockC has all the registers that I need to access through backdoor.
I’m not sure how to specify path in each of my hierarchy?
Thanks in advance for your help.