Assertion in axi

AWSIZE remains stable when AWVALID is asserted and AWREADY is LOW

how to write property for it

In reply to Pradyumna Panda:

Maybe it’s easier to see if you flip your description to more closely match the antecedent → consequent structure of SVA.

// when AWVALID is asserted and AWREADY is LOW, then AWSIZE remains stable 
        AWVALID             &&   !AWREADY       |->  ##1 $stable( AWSIZE );

The only thing that might trip you up here is the ##1, because $stable is backwards looking, not forwards looking.