In reply to dave_59:
Thank Dave.
I don’t think the component is a parameterized class.
class pkt_env extends uvm_env;
pkt_sequencer m_seqr;
pkt_sequence m_seq;
pkt_driver m_drv;
`uvm_component_utils(pkt_env)
function new(name, uvm_component parent);
super.new(name, parent);
m_seqr = pkt_sequencer::type_id::create("m_seqr", this);
m_seq = pkt_sequence::type_id::create("m_seq");
m_drv = pkt_driver::type_id::create("m_drv", this);
endfunction
virtual function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
//uvm_report_info(get_type_name(), "connect_phase()...", UVM_LOW);
//`uvm_info(get_type_name(), "connect_phase()...", UVM_LOW)
**$display({"pkt_env: ", get_full_name()});**
m_drv.seq_item_port.connect(m_seqr.seq_item_export);
endfunction
endclass
In log:
pkt_env: COMP_345.COMP_354