What is the significance of value in uvm_top.print_topology

Hi all,

Name Type size value

can any one explain the significance of ‘value’ while printing topology. is it the address ?
. Please explain how and where it will be useful.

Thanks

In reply to inkula gunnesh:

Hi inkula gunnesh,

Consider following testbench topology,which prints TB components’ hierarchy.
Here value field is pointer to memory location (address).

Name Type Size Value

AXI_Env uvm_env - @455
AXI_Master_Agent uvm_component - @463
AXI_Driver uvm_component - @471
AXI_Monitor uvm_component - @479
AXI_Slave_Agent uvm_component - @487
AXI_Driver uvm_component - @495
AXI_Monitor uvm_component - @503

In below example some transaction packet is printed with few fields.
Here Value field for Transaction-1 is again address,
But Value field for addr,accept_time,begin_time,end_time is actual value stored in variable based on its data_type. [i.e. integral,time…etc.]

Name Type Size Value

Transaction-1 packet - @591
addr integral 32 'h42
accept_time time 64 150
begin_time time 64 170
end_time time 64 200

In reply to DigvijayS:

Thanks for your valuable information.