What is the difference between "static task " and "task static"?

Say i have a task named abc. What is the difference between “static task abc” and “task static abc” ?

https://verificationacademy.com/forums/systemverilog/automatic-variables-inside-static-method#answer-42858