In reply to rossswanson:
Hi Ross, no problem. Let’s go ahead to find the solution.
The error message in the compile shows us the type tx_bd_t is not defined.
But tx_bd_t is defined in ./eth/wb_register_pkg.sv.
I’m not sure if you were pasting the whole log of the compile into your message. My make log looks like this (cutting out the simulation part):
make
rm -rf *.tmp *.log log transcript work *.wlf vsim.fcdb .vstf
find . -name "~"
test -e work || vlib work
vlog +incdir+/opt/questa/current/questasim/verilog_src/uvm-1.1d/src +incdir+./uvm/src/uvm_register-2.0/src ./uvm/src/uvm_register-2.0/src/uvm_register_pkg.sv
QuestaSim-64 vlog 10.4c Compiler 2015.07 Jul 19 2015
Start time: 08:23:05 on Apr 01,2016
vlog “+incdir+/opt/questa/current/questasim/verilog_src/uvm-1.1d/src” “+incdir+./uvm/src/uvm_register-2.0/src” ./uvm/src/uvm_register-2.0/src/uvm_register_pkg.sv
– Compiling package uvm_register_pkg
– Importing package mtiUvm.uvm_pkg (uvm-1.1d Built-in)
Top level modules:
–none–
End time: 08:23:06 on Apr 01,2016, Elapsed time: 0:00:01
Errors: 0, Warnings: 0
test -e work || vlib work
vlog +incdir+./mac_core -y ./mac_core +libext+.v ./mac_core/eth_top.v
QuestaSim-64 vlog 10.4c Compiler 2015.07 Jul 19 2015
Start time: 08:23:06 on Apr 01,2016
vlog “+incdir+./mac_core” -y ./mac_core “+libext+.v” ./mac_core/eth_top.v
– Compiling module eth_top
– Scanning library directory ‘./mac_core’
– Compiling module eth_miim
– Compiling module eth_registers
– Compiling module eth_maccontrol
– Compiling module eth_txethmac
– Compiling module eth_rxethmac
– Compiling module eth_wishbone
– Compiling module eth_macstatus
– Compiling module eth_clockgen
– Compiling module eth_shiftreg
– Compiling module eth_outputcontrol
– Compiling module eth_register
– Compiling module eth_receivecontrol
– Compiling module eth_transmitcontrol
– Compiling module eth_txcounters
– Compiling module eth_txstatem
– Compiling module eth_crc
– Compiling module eth_random
– Compiling module eth_rxstatem
– Compiling module eth_rxcounters
– Compiling module eth_rxaddrcheck
– Compiling module eth_spram_256x32
– Compiling module eth_fifo
Top level modules:
eth_top
End time: 08:23:06 on Apr 01,2016, Elapsed time: 0:00:00
Errors: 0, Warnings: 0
vlog -suppress 2223 -suppress 2181 ./wishbone/wb_slave_mem.sv ./wishbone/wishbone_bus_syscon_if.sv ./top_modules/test_params_pkg.sv ./mac_mii/mii_if.sv ./mac_mii/mac_mii_protocol_module.sv ./eth/wb_register_pkg.sv ./eth/mac_info_pkg.sv ./wishbone/wishbone_pkg.sv ./mac_mii/mac_mii_pkg.sv ./sequences/sequences_pkg.sv ./env/env_pkg.sv ./tests/tests_pkg.sv ./top_modules/top_mac.sv
+incdir+./wishbone
+incdir+./eth
+incdir+
+incdir+
+incdir+./sequences
+incdir+./mac_mii
+incdir+./tests
+incdir+./env
+incdir+./uvm/src/uvm_register-2.0/src
+incdir+/opt/questa/current/questasim/verilog_src/uvm-1.1d/src
QuestaSim-64 vlog 10.4c Compiler 2015.07 Jul 19 2015
Start time: 08:23:06 on Apr 01,2016
vlog -suppress 2223 -suppress 2181 ./wishbone/wb_slave_mem.sv ./wishbone/wishbone_bus_syscon_if.sv ./top_modules/test_params_pkg.sv ./mac_mii/mii_if.sv ./mac_mii/mac_mii_protocol_module.sv ./eth/wb_register_pkg.sv ./eth/mac_info_pkg.sv ./wishbone/wishbone_pkg.sv ./mac_mii/mac_mii_pkg.sv ./sequences/sequences_pkg.sv ./env/env_pkg.sv ./tests/tests_pkg.sv ./top_modules/top_mac.sv “+incdir+./wishbone” “+incdir+./eth” “+incdir+” “+incdir+” “+incdir+./sequences” “+incdir+./mac_mii” “+incdir+./tests” “+incdir+./env” “+incdir+./uvm/src/uvm_register-2.0/src” “+incdir+/opt/questa/current/questasim/verilog_src/uvm-1.1d/src”
– Compiling module wb_slave_mem
– Importing package mtiUvm.uvm_pkg (uvm-1.1d Built-in)
– Compiling interface wishbone_bus_syscon_if
– Compiling package wishbone_bus_syscon_if_sv_unit
– Importing package mtiUvm.uvm_pkg (uvm-1.1d Built-in)
– Compiling interface wishbone_bus_syscon_if
– Compiling package test_params_pkg
– Compiling interface mii_if
– Compiling module mac_mii_protocol_module
– Compiling package wb_register_pkg
– Importing package uvm_register_pkg
– Compiling package mac_info_pkg
– Compiling package wishbone_pkg
– Importing package wb_register_pkg
– Compiling package mac_mii_pkg
– Importing package mac_info_pkg
– Importing package wishbone_pkg
– Compiling package sequences_pkg
– Importing package mac_mii_pkg
– Compiling package env_pkg
– Compiling package tests_pkg
– Importing package env_pkg
– Importing package sequences_pkg
– Importing package test_params_pkg
– Compiling module top_mac
– Importing package tests_pkg
Top level modules:
top_mac
Could you please check that all the stuff in folder eth was compiled.