There is a VHDL IP:
type record_port_type is record
i_data : std_logic_vector(31 downto 0);
o_data : std_logic_vector(31 downto 0);
end record;
entity src_vhd is
port (
data_port : inout record_port_type;
);
end entity src_vhd;
Question :
How to connect the data_port to sv?