what error are you getting ? or are you expecting an error but not getting one?
if 31 consecutive high and low pulses are 100 clk cycles wide then shoudnt you be looking for both high and low?
i.e
sequence standard;
$rose(sig_x) ##1 $stable(sig_x)[*99] ##0 $fell(sig_x)##1 $stable(sig_x)[*99] ##0 $rose(sig_x);
endsequence
also the thirty first is again a rose and fell cycle with 101 cycles correct?