UVMF 2022.1 SPI Interface Warning: response_info YAML structure deprecated

Downloaded UVMF 2022.1 and wanted to regenerate the spi interface package that exists in /base_examples/verification_ip/interface_packages/spi_pkg.
Upon doing so I get the following:

Warning: response_info YAML structure deprecated. Slave agent response data now determined by arguments to respond_and_wait_for_next_transfer task within generated driver_bfm.
Skipping generation of predefined component spi

I realized that by removing the response_info schema from the spi_interface.yaml I was able to mitigate the Warning but I still go the “Skipping generation of predefined component spi”. I determined that changing existing_library_component from ‘True’ to ‘False’ allowed me to generate. I tried to located the meaning of existing_library_component in either the UVMF YAML Reference Manual or UVM Framework Users Guide and could not find the definition of it. It appears there is no definition of gen_inbound_streaming_driver either. Are they defined somewhere else?

Here is the snippet of spi_interface.yaml
uvmf:
interfaces:
spi:
clock: sck
config_constraints:
config_vars:
existing_library_component: ‘True’
gen_inbound_streaming_driver: ‘False’

In reply to polyengineer13:

The spi_pkg that’s included in UVMF 2022.1 was generated with uvmf_gen version 2019.4_1, with the difference being the the spi_driver_bfm in 2022.1 was updated to include a respond_and_wait_for_next_transfer task as opposed to the get_mosi_data task, etc.