UVM

In reply to samy:

In reply to Muthamizh:
hi muthamizh ,
send me …
regards samy

I have sent the complete code along with rtl. It will be very helpful if you could find out whats wrong and help me out

In reply to Muthamizh:

Can you be more descriptive beyond “My sequence isn’t driving at all”? What output are you seeing? What output do you expect to see? What do you think the issue is? An output transcript with the error(s) you are seeing is very helpful.

One simple issue is that your reset isn’t long enough. Try changing it from #2 to #20.

In reply to cgales:

In reply to Muthamizh:
Can you be more descriptive beyond “My sequence isn’t driving at all”? What output are you seeing? What output do you expect to see? What do you think the issue is? An output transcript with the error(s) you are seeing is very helpful.
One simple issue is that your reset isn’t long enough. Try changing it from #2 to #20.

             106input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 106: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 110: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

110input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 110: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 114: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

114input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 114: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 118: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

118input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 118: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 122: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

122input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 122: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 126: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

126input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 126: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 130: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

130input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 130: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 134: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

134input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 134: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 138: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

138input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 138: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 142: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

142input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 142: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 146: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

146input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 146: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 150: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

150input=0State=xxOutput=x

In reply to Muthamizh:

In reply to cgales:
106input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 106: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 110: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

110input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 110: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 114: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

114input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 114: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 118: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

118input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 118: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 122: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

122input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 122: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 126: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

126input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 126: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 130: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

130input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 130: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 134: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

134input=1State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 134: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 138: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

138input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 138: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 142: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

142input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 142: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 146: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

146input=0State=xxOutput=x

UVM_INFO uvm_sd_monitor.sv(48) @ 146: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

UVM_INFO uvm_sd_driver.sv(58) @ 150: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

150input=0State=xxOutput=x

This is the output am getting now. but if the signals are driven, there should be state transition happening in my output. if you see my dut rtl, u could understand the way state transition happens. And regarding output, it should become 1 when the sequence 1001 is detected. In the waveform also state and output do not show at all. This is my problem.

In reply to Muthamizh:

yes, Reset isn’t long enough. here i am attaching code after increasing reset duration to 20 and it is working as per your expectations.

Link:-

Regards,
Mitesh

In reply to mitesh.patel:

Yes its working… Thanks a lot… but still the driver doesnt change and the scoreboard isnt displayed at all… what should i do for this?

In reply to mitesh.patel:

In reply to Muthamizh:
yes, Reset isn’t long enough. here i am attaching code after increasing reset duration to 20 and it is working as per your expectations.
Link:-
Edit code - EDA Playground
Regards,
Mitesh

really, thanks a lot for helping me out. I have been checking this for the past 3 days. It will be very helpful if you are able to help me out with driver and scoreboard. Thank you again

In reply to Muthamizh:

Because, write method from monitor is called outside the forever begin. ideally when, you sampled anything from interface it should be write to analysis port.

please find the link, it is showing packet received messages from scoreboard.
Link:-

In reply to mitesh.patel:

In reply to Muthamizh:
Because, write method from monitor is called outside the forever begin. ideally when, you sampled anything from interface it should be write to analysis port.
please find the link, it is showing packet received messages from scoreboard.
Link:-
Edit code - EDA Playground

Thank you so much. It is very very helpful. Thanks a lot

In reply to mitesh.patel:

In reply to Muthamizh:
Because, write method from monitor is called outside the forever begin. ideally when, you sampled anything from interface it should be write to analysis port.
please find the link, it is showing packet received messages from scoreboard.
Link:-
Edit code - EDA Playground

The input of driver and monitor changes. Could you please help me out with that?

In reply to Muthamizh:

I am also trying to correct it. Still a help would be supportive.

In reply to Muthamizh:

Can you please elaborate more? which inputs are changes ? i didnt get your question.

In reply to mitesh.patel:

UVM_INFO uvm_sd_driver.sv(59) @ 38: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=11 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 40: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(36) @ 40: uvm_test_top.env.sb [SB] Sequence is not yet started and so stays in reset state itself

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 42: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 44: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(40) @ 44: uvm_test_top.env.sb [SB] Sequence is started and so it goes to the next state 01

UVM_INFO uvm_sd_scoreboard.sv(41) @ 44: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 46: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 48: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(45) @ 48: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(46) @ 48: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 50: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 52: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(60) @ 52: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(61) @ 52: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 54: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 56: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(50) @ 56: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(51) @ 56: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 58: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 60: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

In reply to Muthamizh:

In reply to mitesh.patel:
UVM_INFO uvm_sd_driver.sv(59) @ 38: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=11 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 40: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(36) @ 40: uvm_test_top.env.sb [SB] Sequence is not yet started and so stays in reset state itself

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 42: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 44: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(40) @ 44: uvm_test_top.env.sb [SB] Sequence is started and so it goes to the next state 01

UVM_INFO uvm_sd_scoreboard.sv(41) @ 44: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 46: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=00 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 48: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(45) @ 48: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(46) @ 48: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 50: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 52: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(60) @ 52: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(61) @ 52: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 54: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 56: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(50) @ 56: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(51) @ 56: uvm_test_top.env.sb Got expected response

----------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_driver.sv(59) @ 58: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

UVM_INFO uvm_sd_monitor.sv(48) @ 60: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

Im getting output like this now. the driver and monitor should show the same output. But only the monitor shows the correct output. There is problem with driver clock i guess. but I am not able to identify it. Could you please help me with this?

In reply to Muthamizh:

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 94: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(44) @ 94: uvm_test_top.env.sb [SB] Sequence is started and so it goes to the next state 01

UVM_INFO uvm_sd_scoreboard.sv(45) @ 94: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 94: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 98: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(49) @ 98: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(50) @ 98: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 98: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 102: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(64) @ 102: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(65) @ 102: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 102: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 106: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(49) @ 106: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(50) @ 106: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 106: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 110: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(64) @ 110: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(65) @ 110: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 110: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 114: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 114: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 114: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 114: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 118: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 118: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 118: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 118: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 122: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 122: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 122: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 122: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 126: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 126: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 126: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 126: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

In reply to Muthamizh:

In reply to Muthamizh:

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 94: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=00 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(44) @ 94: uvm_test_top.env.sb [SB] Sequence is started and so it goes to the next state 01

UVM_INFO uvm_sd_scoreboard.sv(45) @ 94: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 94: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=00 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 98: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(49) @ 98: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(50) @ 98: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 98: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 102: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(64) @ 102: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(65) @ 102: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 102: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 106: uvm_test_top.env.agnt.moni [MONITOR] Input=0 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(49) @ 106: uvm_test_top.env.sb [SB] Sequence continues and it goes to next state 10

UVM_INFO uvm_sd_scoreboard.sv(50) @ 106: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 106: uvm_test_top.env.agnt.dri [DRIVER] Input=0 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 110: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=10 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(64) @ 110: uvm_test_top.env.sb [SB] Sequence is broken and so goes to state 01

UVM_INFO uvm_sd_scoreboard.sv(65) @ 110: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 110: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=10 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 114: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 114: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 114: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 114: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 118: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 118: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 118: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 118: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 122: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 122: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 122: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 122: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

UVM_INFO uvm_sd_monitor.sv(49) @ 126: uvm_test_top.env.agnt.moni [MONITOR] Input=1 State=01 Output=0

Packets received

UVM_INFO uvm_sd_scoreboard.sv(54) @ 126: uvm_test_top.env.sb [SB] Sequence is broken and so stays in 01 state itself

UVM_INFO uvm_sd_scoreboard.sv(55) @ 126: uvm_test_top.env.sb Got expected response

UVM_INFO uvm_sd_driver.sv(58) @ 126: uvm_test_top.env.agnt.dri [DRIVER] Input=1 State=01 Output=0

------------------------------------------------------------------------------------------------------------------------

I have corrected it to this extent. But the driver is displayed after monitor and scoreboard. How to make driver to display before monitor and scoreboard?

I have now included agent configuration and environment configuration files. But it is showing errors, i dont know what those means. Could you please help me out with this?

In reply to Muthamizh:

I have now included agent configuration and environment configuration files. But it is showing errors, i dont know what those means. Could you please help me out with this?

Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** at uvm_sd_agent.sv(35): Invalid type ‘fsm_agent_config’. Please check the type of the variable ‘ag_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_agent.sv(48)
** at uvm_sd_agent.sv(48): (vlog-2730) Undefined variable: ‘ag_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_agent.sv(48)
** at uvm_sd_agent.sv(48): (vlog-2730) Undefined variable: ‘ag_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_agent.sv(48)
** at uvm_sd_agent.sv(48): (vlog-2730) Undefined variable: ‘ag_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_agent.sv(48)
** at uvm_sd_agent.sv(48): (vlog-2730) Undefined variable: ‘ag_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(14)
** at uvm_sd_agent.sv(69): (vlog-2730) Undefined variable: ‘fsm_agent_config’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** at uvm_sd_environment.sv(35): Invalid type ‘fsm_env_config’. Please check the type of the variable ‘env_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_environment.sv(47)
** at uvm_sd_environment.sv(47): (vlog-2730) Undefined variable: ‘env_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_environment.sv(47)
** at uvm_sd_environment.sv(47): (vlog-2730) Undefined variable: ‘env_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_environment.sv(47)
** at uvm_sd_environment.sv(47): (vlog-2730) Undefined variable: ‘env_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** while parsing macro expansion: ‘uvm_field_object’ starting at uvm_sd_environment.sv(47)
** at uvm_sd_environment.sv(47): (vlog-2730) Undefined variable: ‘env_cg’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** at uvm_sd_environment.sv(68): (vlog-2730) Undefined variable: ‘fsm_env_config’.
** Error: ** while parsing file included at uvm_sd_allfiles.sv(17)
** at uvm_sd_environment.sv(74): Failed to find name ‘type_id’ in specified scope
** Error: ** while parsing file included at uvm_sd_allfiles.sv(20)
** at uvm_sd_tbtop.sv(19): near “module”: syntax error, unexpected module, expecting function or task
End time: 14:30:34 on Jul 19,2018, Elapsed time: 0:00:01
Errors: 14, Warnings: 0
[muthamizhselvi.m@chennai UVM_PROJECT]$

Actually what do these errors mean? Where should i correct them actually?

In reply to Muthamizh:

It looks loke your agent and env configurations are not visible in the compiled library.
Did you really compile the configurations and are they compile in the right order?

In reply to chr_sue:

yes, i have changed the order. Now I am getting these 2 errors.

** Error: ** while parsing file included at uvm_sd_allfiles.sv(18)
** at uvm_sd_environment.sv(76): Failed to find name ‘type_id’ in specified scope
** Error: ** while parsing file included at uvm_sd_allfiles.sv(20)
** at uvm_sd_tbtop.sv(19): near “module”: syntax error, unexpected module, expecting function or task
End time: 15:43:48 on Jul 19,2018, Elapsed time: 0:00:00
Errors: 2, Warnings: 0