UVM Verbosity

i want uvm_info, uvm_warning, uvm_error, uvm_fatal in different colors , please guide me any one how to get this as a different color. in uvm testbench.

In reply to ashish_saroj:

Do you know this link
https://verificationacademy.com/forums/downloads/color-all-messages-coming-specific-uvmenv-your-testbench

i want only UVM_ERROR,UVM_FATAL as color not a message as color

please guide if you any source.