UVM SEQUENCE USAGE

HI All,
Please suggest;

class ethernet_main_seq extends main_seq;

busy_seq busy_seq_h;
feature_seq feature_seq_h;

uvm_do_with(busy_seq_h.mode==1); uvm_do_with(feature_seq_h.addr==1);

endclass
//////////////////

class busy_seq extends main_seq;

feature_seq feature_seq_h; //GIVING ERROR

endclass
//////////////////////

class feature_seq extends main_seq;

endclass
///////////////////

I would like to use feature_seq inside busy_seq, but I am not able to use it.

Thank You,

In reply to Mahesh K:

You need to explain what “GIVING ERROR” means. Is it a compilation error? Run time error? Do you need to change the ordering of how your files are compiled to ensure that the class is defined prior to referencing it?