UVM sequence parameter or constraints

Dear all

I want to run configurable object including sequence item definition.
I need to access from sequence a parameter defined in the uvm component thanks to the UVM hierarchy. As my configurable object may be instanciated several times, I cannot use uvm data base mechanism as the access is defined by a string name (will be the same for each component instance).
Is there any other mechanism to access parameter from UVM_COMPONENT to UVM_SEQUENCE ?

The background of the mechanism is to define for an UVM agent, a sequence item transaction from a configuration text file declared with the configuration of the agent (structural part of UVM). I may be not recommanded by the cockbook, that It will allow to have a complete generic agent that can be configurated by text file for defining the sequence item transaction, and be only configurated.

Thanks for you help.
regards
Louis

In reply to lbos:

Hi Louis,
I’m not sure I understand what you’re asking. For information on configuring a sequence, see the Cookbook.
Please be aware that the sequencer, driver and monitor in your agent all have to be parameterized by the uvm_sequence_item type you’ll be using, so if you want them to be generic, you’ll have to parameterize them as using the uvm_sequence_item base type. Due to SystemVerilog language/compiler limitations, if you want to get the actual type from a text file, you’ll have to use a +define on your command line to define a macro that specifies your type.
Perhaps you could include some pseudo-code to clarify just what you’re looking to do?