UVM scoreboard implementation

Hi,

I have requirement where DUT has AHB interface to send and receive data. What should be the event i to get these data into the scoreboard so that i don’t get the invalid data

Thanks,
Tejas

The AHB protocol specification defines signaling on HTRANS and HREADY (among others) that can help denote when a UVM monitor component can capture values on other parts of the bus such as HADDR/HWDATA/HRDATA/etc. That information can then be stored in a sequence item and passed on to downstream components (such as a scoreboard) via an analysis port.

Keep in mind that with protocols like AHB that can be pipelined you may need to monitor activity on different parts of the bus in parallel threads (address for a new transaction may be coincident with data for a prior transaction).