UVM REG mirror update during uvm_reg::write

Hi,
I am trying to understand when does the uvm update the mirror value when we call uvm_reg.write function for frontdoor register write.

  1. It will be really helpful if you could point me out the line inside uvm_reg::do_write task which does this task.
  2. Will it update the mirror value if i set uvm_reg_block::set_auto_predict to zero ?

Thanks,
Omkar