Uvm_mem

Hello,

I am new to uvm and I want to create a memory model in uvm using uvm_mem base class. I also want to allocate the memory after creating a memory. How can I do this?

I tried searching for a good example but couldn’t find any related information. Could someone guide me through this task? Or if any one can give me the reference code to look at.

Thank You,
Karma Panchal