Hi everyone I am a self learner of UVM started for last 2 days have tried a simple code in edaplayground but having error in `uvm_info.I know its very basic but crucial for me and I am enjoying my evolution phase in learning.Any help will be appreciated
eda link:eda link
//============ code starts here =========//
module automatic test_program;
import uvm_pkg::*;
class hello_world_test extends uvm_test;
//register to uvm factory
`uvm_component_utils(hello_world_test)
//let the uvm make the constructor
function new(string name,uvm_component parent);
super.new(name,parent);
endfunction
//rum phase as the initial block in SV
task run_phase(uvm_phase phase);
`uvm_info("DEMO","Hello world",UVM_MEDIUM);
endtask
endclass
initial begin
run_test();
end
endmodule
//================== end ===================//