UVM Fatal Error :Unable to find configuration object

Hi,
i have been writing a code where i am using configuration database.So i set uvm_config_db in top i.e.

uvm_config_db #(virtual intf )::set (null, "*m_agent1", "flane_vir_intf", flane_intf);

and now for getting i have written this in test_lib
where i have instantiated an env and an agent and a config

 if(!uvm_config_db #(virtual intf)::get(null, "*m_agent1", "flane_vir_intf", m_cfg1.BUS)) begin
    `uvm_fatal("Build_phase", "Unable to find BUS1_vif")
  end
  uvm_config_db #(agent_config)::set(this, "*m_agent1", "config", m_cfg1);

now in that agent i am getting configuration

 if(!uvm_config_db #(agent_config)::get(this, "", "config", m_cfg)) begin
    `uvm_fatal("build_phase", "Unable to find configuration object")
  end

But in simulation i am getting fatal error for the last get.please help me out

Thanks in advance,

In reply to arju singh:

From this piece of code, only reason seems to be that the agent instance name is not matching ‘*m_agent1’.

Also, any specific reason for using ‘null’ as context while setting in and getting from config_db?