UVM_ERROR

In reply to chr_sue:

class ac_lpc_sequencer extends uvm_sequencer#(sequence_item);
`uvm_component_utils(ac_lpc_sequencer)

function new(string name,uvm_component parent);
super.new(name,parent);

endfunction
endclass:ac_lpc_sequencer
//////////////////////////////////////////////////////////////////////
class ac_lpc_tx_seq extends uvm_sequence#(sequence_item);

sequence_item req;

function new(string name = “ac_lpc_tx_seq”);
super.new(name);
endfunction

task body;
req = sequence_item::type_id::create(“req”);

for(int i=0;i<10;i++) begin
start_item(req);
assert (req.randomize());
finish_item(req);
`uvm_info(“AC_LPC_TX_SEQ_BODY”, $sformatf(“Transmitted frame %0d”, i), UVM_LOW);
end
endtask: body
endclass:ac_lpc_tx_seq