UVM driver, seq_item_port

Hello All,

I want to handle multiple outstanding transaction in driver class, so i can not use get_next_item and item_done methods in the driver because i can not handle another transaction until item_done is not done for first transaction, so is there any other way or method that UVM driver class support to do this? I know some what about the get and put method but in this case sequence writer always need to wait for response from the driver.

Thanks in Advance!

In reply to Harshad:

What do you mean with 'outstanding tansaction? Dou you have a pipelined protocol in the driver?
It is common taht you have more than 1 transaction generated by the sequencer and the get_next_item/item_done is processing step-by-step.

In reply to chr_sue:

Yes, It’s pipelined protocol (AXI3/4). so, I want to initiate (drive) second address before transfer competition for fist address.

In reply to Harshad:

You’ll find the details here
https://verificationacademy.com/cookbook/driver/pipelined

In reply to chr_sue:

Thank you for quick reply, it’s helpful!