Uvm_config_db set from sequence and get in tb_top

Hi,

Is there any way to do uvm_config_db set from sequence and get in tb_top?

thanks & regards,
sanjoy

In reply to sanjay864u:

You can use uvm_root and get

In reply to sanjay864u:

Because a sequence is a transient object you might not know when to perform the get in the toplevel module.