Uvm_config_db get usage

Hi,
Can the cfg be set in the lower hierarchy and get at hierarchy?
For ex:
//check_env.sv (build phase)
uvm_config_db#(cfg_check)::set(uvm_root::get(), “*”, “cfg_h”, cfg_h);

//check_test.sv (build phase)
uvm_config_db#(cfg_check)::get(uvm_root::get(), “*”, “cfg_h”, cfg_h);

It would be also be helpful if you can point me to a code snippet.

Thanks in advance.

In reply to Pavan Acharya G:

You can do anything, but you have to make sure the get is executed after the set. Because the run_phases are executed topdown this is sometimes not easy.
Find code examples and more explanations here:
https://verificationacademy.com/cookbook/resources/config-db