Hi Chris,
below is my code
In rxif_tb_top.sv I’m doing config_db set
uvm_config_db#(virtual rx_misc_interface)::set(null ,“uvm_test_top”, “rx_misc_intf”, dut_wrapper.rx_misc_intf);
In scoreboard I’m using config_db get
class rx_scoreboard
#(type T_POSTED = rx_sb_transaction,
type T_CHECKED = rx_sb_transaction)
extends pw_scoreboard #(T_POSTED, T_CHECKED);
// This bit determines whether to tolerate drops or not
bit pw_enable_drop_without_error = 0;
uvm_phase m_run_phase;
uvm_component_param_utils_begin(rx_scoreboard #(T_POSTED,T_CHECKED))
uvm_field_int(pw_enable_drop_without_error,UVM_ALL_ON)
`uvm_component_utils_end
virtual interface rx_misc_interface rx_misc_intf;
// Create an instance
function new(string name=“rx_scoreboard”, uvm_component parent=null);
super.new(name, parent);
display_exp_act = 1;
endfunction: new
//BUILD
function void build_phase (uvm_phase phase);
super.build_phase(phase);
uvm_info(get_full_name( ),"BUILD PHASE ", UVM_LOW) if(!uvm_config_db#(virtual rx_misc_interface)::get(this, "*", "rx_misc_intf", rx_misc_intf))
uvm_fatal(this.get_type_name(), “failed to get vif object”)
`uvm_info(get_full_name( ),“BUILD PHASE COMPLETE.”, UVM_LOW)
endfunction : build_phase
For the above code I’m getting FATAL error