Using uvm_resource_db to set NO_REG_TESTS for a register

Hi Folks,

I’m using the uvm_resource_db to set NO_REG_TESTS for a particular register as follows :

uvm_resource_db#(bit)::set({"REG::",blk.sub_blk0.registerA.get_full_name()},"NO_REG_TESTS",1);

In another testcase file, I try to do the following to skip programming of this register :

if (uvm_resource_db#()::read_by_name("REG::",blk.sub_blk0.registerA.get_full_name(),val)) begin 
   continue;
end

The if-else loop is unable to find the registerA in the uvm_resource_db, and registerA ends up being written - Is there any way to check for registerA in uvm_resource_db so i can skip programming it ?