Usage of create method of uvm_factory over new

Hi,

It is advised that “create” method of uvm_factory should be used while creating child components in build_phase. Here we are not defining or overriding create method.
Also we do define a constructor for each component.

So is it really necessary to define a constructor if we are going to use create method?

Thanks,
Dipali

But you are defining a create method because of the `uvm_component_utils macros. See a little of what that does in this post. The create method will eventually call the constructor that you need to define inside your component.

Dave

In reply to dave_59:

Thanks Dave!

It’s clear now.