Timescale in log

how can the timescale in `uvm_info()be changed.
currently the default testbench timscale is being used
which is determined by the smallest time resolution

Use $timeformat

Hi Meir,

Use $timeformat in your tb top file in below manner.

// Set Time Format
initial
begin
$timeformat(time unit, precision number, suffix string, and minimum field width);

end

The $timeformat system task performs the following two functions:
1)It specifies how the %t format specification reports time information for the $write, $display,$strobe, $monitor, $fwrite, $fdisplay, $fstrobe, and $fmonitor group of system tasks.
2)It specifies the time unit for delays entered interactively.

The units number argument shall be an integer in the range from 0 to -15. This argument represents the time unit as shown in table

Unit number Time unit Unit number Time unit
0 1 s -8 10 ns
-1 100 ms -9 1 ns
-2 10 ms -10 100 ps
-3 1 ms -11 10 ps
-4 100 us -12 1 ps
-5 10 us -13 100 fs
-6 1 us -14 10 fs
-7 100 ns -15 1 fs

Syntax : $timeformat(time unit, precision number, suffix string, and minimum field width);

Best Regards,
Chetan Shah
Sr. ASIC Verification Engineer (Level 1) | ASIC

Product Engineering Services
Software | Embedded | Semiconductor
www.einfochips.com
Frost & Sullivan Company of the Year 2013-14