In reply to ben@SystemVerilog.us:
Thankyou very much Ben, but for
@(posedge clk) $rose(r) ##[d:d+6] !f
the below didn’t work
property p_falling_check;
int v1, v2;
@(posedge clk)
(rose(r), v1=d) |-> (first_match((1, v1=v1-1'b1) [*1:] ##0 (v1==0, v2=6))
// intersect !fell(f, @(posedge clk)) [*1:]) ##0 // incorrect
intersect !f [*1:]) ##0
(first_match((1, v2=v2-1) [*1:] ##0 v2==0) intersect (!f, @(posedge clk)) [=1]) ;
endproperty
can you please help?