I have an assertion that look something like this
module tb;
.
.
.
generate
property clk_req_up;
@(clk) disable iff (~out_of_reset) req |-> ##[1:5] ack;
endproperty
clk_req_up_assert: assert property (clk_req_up) else $error("clk_req_up: assertion failed");
endgenerate
.
.
.
I am getting compilation error like this:
System verilog keyword ‘assert’ is not expected to be used in this context.
Anything goes wrong here?