class dff_scob_fifo extends uvm_scoreboard;
//registration to the factory
`uvm_component_utils(dff_scob_fifo)
//instantiation of transaction and SEQ
dff_transaction dff_trans_h;
dff_seq dff_seq_h;
int matched, mismatched;
//Analysis exports declaration
uvm_analysis_export #(dff_transaction) before_export;
uvm_analysis_export #(dff_transaction) after_export;
//
uvm_tlm_analysis_fifo #(dff_transaction) before_fifo, after_fifo;
//constructor
function new(string name=“D_FF_SCOB”,uvm_component p);
super.new(name,p);
//dff_ap_imp = new(“dff_ap_imp”,this);
before_fifo=new(“before_fifo”,this,20);
after_fifo=new(“after_fifo”,this,20);
endfunction
//Build phase of scoreboard
virtual function void build_phase(uvm_phase phase);
super.build_phase(phase);
$display(“This is BUILD phase of SCOB–TLM FIFO”);
dff_trans_h=dff_transaction::type_id::create(“dff_trans_h”);
dff_seq_h=dff_seq::type_id::create(“dff_seq_h”,this);
endfunction
//connect phase
virtual function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
$display(“This is CONNECT phase of SCOB–TLM FIFO”);
before_export.connect(before_fifo.analysis_export);
after_export.connect(after_fifo.analysis_export);
endfunction
endclass:dff_scob_fifo
I am getting the following errors could yo please tell me where I am getting wrong?
Error-[TMAFTC] Too many arguments to function/task call
dff_scob_fifo.sv, 21
“uvm_tlm_analysis_fifo::new(“before_fifo”, \this , 20)”
The above function/task call is done with more arguments than needed.
Error-[TMAFTC] Too many arguments to function/task call
dff_scob_fifo.sv, 22
“uvm_tlm_analysis_fifo::new(“after_fifo”, \this , 20)”
The above function/task call is done with more arguments than needed.
2 errors
CPU time: 1.601 seconds to compile
Exit code expected: 0, received: 1