In reply to Yogeshk:
You can try
property k1;
@(posedge clk) disable iff (~rstN)
$rose(start) |-> (done[->1] intersect !error[*1:100]);
endproperty
or use |=> instead of |->, depending on whether done can overlap with start.
Here I am assuming start is single cycle.