In reply to sharvil111:
Actually, all you need is to make the consequent sequence strong
property p_req_to_grant(clk,rst,req,gnt);
@(posedge clk) disable iff (rst)
req |-> strong(##[0:$] gnt);
endproperty
ap_req_to_grant_0 : assert property(p_req_to_grant(clk,ares,req[0],grant[0]));
From my SVA book: Guideline: Qualify as strong properties that are sequences and have range delays or consecutive repetition operators (e.g., [*, [->, [= ) and are consequents in an assertion. This is important when it is necessary to qualify an assertion as a failure if the consequent never terminates at the end of simulation (e.g., with a $finish). Example:
ap_ab_recommend: assert property(@ (posedge clk) a |-> strong([1:$] b)); //GOOD
ap_ab_weak: assert property(@ (posedge clk) a |-> ([1:$] b)); // weak property
ap_abc_recommend: assert property(@ (posedge clk) a |-> strong(b[*) ##1 c); // Strong property
ap_abc_weak: assert property(@ (posedge clk) a |-> (b[*) ##1 c); // weak property
Tools may have a switch such that on finish, you can qualify what the simulator should do. You can specify things like ask, stop, exit.
Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr
- SVA Handbook 4th Edition, 2016 ISBN 978-1518681448
- A Pragmatic Approach to VMM Adoption 2006 ISBN 0-9705394-9-5
- Using PSL/SUGAR for Formal and Dynamic Verification 2nd Edition, 2004, ISBN 0-9705394-6-0
- Real Chip Design and Verification Using Verilog and VHDL, 2002 isbn 978-1539769712
- Component Design by Example ", 2001 ISBN 0-9705394-0-1
- VHDL Coding Styles and Methodologies, 2nd Edition, 1999 ISBN 0-7923-8474-1
- VHDL Answers to Frequently Asked Questions, 2nd Edition ISBN 0-7923-8115