SVA : Property is a tautology

In reply to sharvil111:
Actually, all you need is to make the consequent sequence strong


property p_req_to_grant(clk,rst,req,gnt);
  @(posedge clk) disable iff (rst)
  req |-> strong(##[0:$] gnt);
endproperty
ap_req_to_grant_0 : assert property(p_req_to_grant(clk,ares,req[0],grant[0])); 

From my SVA book: Guideline: Qualify as strong properties that are sequences and have range delays or consecutive repetition operators (e.g., [*, [->, [= ) and are consequents in an assertion. This is important when it is necessary to qualify an assertion as a failure if the consequent never terminates at the end of simulation (e.g., with a $finish). Example:

ap_ab_recommend: assert property(@ (posedge clk) a |-> strong([1:$] b)); //GOOD 
ap_ab_weak: assert property(@ (posedge clk) a |-> ([1:$] b)); // weak property 
ap_abc_recommend: assert property(@ (posedge clk) a |-> strong(b[*) ##1 c); // Strong property 
ap_abc_weak: assert property(@ (posedge clk) a |-> (b[*) ##1 c); // weak property 
 

Tools may have a switch such that on finish, you can qualify what the simulator should do. You can specify things like ask, stop, exit.
Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr