SV assertion for clock gating & Reset check

In reply to S.P.Rajkumar.V:
I think you need the within operator


property rst_disable_chk;
  @(posedge ref_clk)
    $fell(reset_en) |-> (reset_n[*1:$] ##1 reset_en)  within reset_en [->1];
endproperty
// The sequence containment within specifies a sequence occurring within another sequence. 
// Note: (seq1 within seq2) is equivalent to: 
((1[*0:$] ##1 seq1 ##1 1[*0:$]) intersect seq2 )

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us