Suppress UVM_MEDIUM "Attempting to reserve.." from uvm_mem_mam.svh

I am using UVM1.1d and using uvm_mem_mam to allocate large buffers in the Memory model. When it reserves memory it prints out messages like :

...src/reg/uvm_mem_mam.svh(724) @ 5501500: reporter [RegModel] Attempting to reserve ['h0000000219a5a000:'h0000000219a5afff]...

This is the code in the file that executes that display.

`uvm_info("RegModel",$sformatf("Attempting to reserve ['h%h:'h%h]...",
start_offset, end_offset),UVM_MEDIUM)

But the message is not suppressed if I use +UVM_VERBOSITY=UVM_LOW or +UVM_VERBOSITY=UVM_NONE on the commandline.

Do you know why the command line verbosity donot affect this? Is it simulator specific?

Thanks

In reply to myashu:

Are other messages responding +UVM_VERBOSITY=UVM_LOW?
Where are you configuring uvm_mem_mam? If it’s done before calling run_test(), the command line has not been read yet. Try putting a `uvm_info message just before constructing uvm_mem_mam.

In reply to dave_59:

Thanks Dave for replying!
I just found out that somebody has added a code like this in one of the env files:

uvm_top.set_report_id_verbosity("RegModel", UVM_HIGH);

Maybe this was added to display statements from Register Model, but since uvm_mem_mam.svh also has the ID as RegModel the UVM_LOW from commandline was overridden. Once I comment that statment out, no longer have "Attempting to reserve … "

Why is uvm_mem_mam.svh having ID RegModel? Can UVM library make it RegMem or something?

Thanks